Main Content

feof

检测文件末尾

说明

示例

status = feof(fileID) 返回文件末尾指示符的状态。如果之前的操作为指定文件设置了文件末尾指示符,feof 将返回 1。否则,feof 将返回 0

示例

全部折叠

从这个 badpoem.txt 文件中一次读取一行,一直到文件的末尾。

使用 fopen 打开文件。此函数将指定一个唯一的文件 ID,用于读取和写入文件。

fid = fopen('badpoem.txt');

一次读取并显示一行,一直到文件的末尾。

while ~feof(fid)
    tline = fgetl(fid);
    disp(tline)
end
Oranges and lemons,
Pineapples and tea.
Orangutans and monkeys,
Dragonflys or fleas.

关闭文件。

fclose(fid);

输入参数

全部折叠

已打开文件的文件标识符,指定为整数。在检测文件末尾状态之前,必须使用 fopen 打开文件并获取有效的文件标识符 fileID

数据类型: double

提示

  • 打开空文件会设置文件末尾指示符。读取操作以及 fseekfrewind 等其他操作会移动文件位置指针。

扩展功能

C/C++ 代码生成
使用 MATLAB® Coder™ 生成 C 代码和 C++ 代码。

版本历史记录

在 R2006a 之前推出

全部展开